1

我已经从 Accellera 网站下载了 UVM 1.2。我使用的是 Questasim 10.2,我的 UVM 版本是 1.1d。

现在我将 UVM 1.2 文件夹复制到C:\questasim_10.2c. 然后我改变了

mtiUvm = $MODEL_TECH/../uvm-1.1d

排队modelsim.ini

mtiUvm = $MODEL_TECH/../uvm-1.2

但是现在 Questasim 显示找不到库的错误。如何解决这个问题并在 Questasim 中安装 UVM 1.2?

4

1 回答 1

1

mtiUvm 是另一回事。这是 UVM 的 Mentor Graphics 版本,添加了支持 Questa 中的事务记录(可能还有其他一些东西)。

您不安装 UVM,而是将其编译为任何普通软件包:

vlog +incdir+<oath_to_uvm>/sv <oath_to_uvm>/sv/uvm_pkg.sv

这样,UVM 最终会出现在您正在编译其他包的同一个工作库中。

于 2014-10-09T08:11:27.790 回答