2

我使用这个命令在 Questasim 中打印事务类的内容:

`uvm_info("VALUES", tx.sprint(), UVM_LOW)

我的交易有一个变量ans。问题是它打印为十六进制而不是十进制。

表明:

ans integral 8 'h1c

我怎样才能让它显示为:

ans  integral        8     'd28
4

2 回答 2

5

注册 uvm 类时需要启用 UVM_DEC 标志:

`uvm_object_utils_begin(your_class)
`uvm_field_int(ans, UVM_ALL_ON | UVM_DEC)
`uvm_object_utils_end
于 2014-10-07T07:07:03.610 回答
0

由于 uvm_field_macros 效率低下,最好覆盖 convert2string() 方法,并根据需要指定格式。

于 2015-11-02T03:13:06.987 回答