4

System Verilog 中的 DPI 功能总是提到您可以将其与任何语言交互,最常见的是 C/C++。我想将我的系统 Verilog 代码与 Ruby 接口。是否有任何文档或支持此功能?任何已知的方法来做到这一点?

我应该补充一点,我的主要目标是从我的系统 Verilog uvm 测试中调用一个 ruby​​ 脚本。

谢谢

4

2 回答 2

6

虽然该标准提到 DPI 可以将 SystemVerilog 与任何其他外语接口,但它随后退缩并说:

然而,目前 SystemVerilog 只为 C 编程语言定义了一个外语层。

这意味着您应该在所有符合 IEEE 1800 的模拟器中获得 DPI-C 支持,但您获得的任何其他外语都取决于您的模拟器供应商。例如,我的也提供 SystemC,但要求对 SystemC 代码进行修补(即它不能开箱即用)。

我公司的一些人设法通过 DPI 将 Python 与 SystemVerilog 连接起来,但他们通过两步方法做到了:Python -> C 和 C -> SystemVerilog。您可能需要做类似的事情。

于 2014-07-18T16:03:22.587 回答
1

这与您使用 Ruby 一样接近,但使用了 VPI:

如果你喜欢 Python,可以试试 coco_tb:

于 2014-07-28T02:44:18.563 回答