我对以下用于向工厂注册 UVM 测试的 SystemVerilog 构造感到困惑:
class random_test extends uvm_test;
`uvm_component_utils(random_test);
...
function new (...
这里我们有一个类 random_test 的定义,在定义内部我们调用一个方法,而它的参数是正在定义的类。所以这是我的问题:
- 甚至在从random_test
`uvm_component_utils
类构造任何对象之前就在时间 0 调用? - 我们如何
`uvm_component_utils
在该类定义中传递一个类?
谢谢。