16

您如何连接 VHDL 中的位?我正在尝试使用以下代码:

案例 b0 & b1 & b2 & b3 是...

它抛出一个错误

谢谢

4

3 回答 3

27

连接运算符 '&' 允许在信号赋值运算符 '<=' 的右侧,仅

于 2008-10-16T17:16:38.763 回答
12

以下是连接运算符的示例:

architecture EXAMPLE of CONCATENATION is
   signal Z_BUS : bit_vector (3 downto 0);
   signal A_BIT, B_BIT, C_BIT, D_BIT : bit;
begin
   Z_BUS <= A_BIT & B_BIT & C_BIT & D_BIT;
end EXAMPLE;
于 2008-10-16T17:23:35.457 回答
12

不允许在 case 语句中使用连接运算符。一种可能的解决方案是在流程中使用变量:

process(b0,b1,b2,b3)
   variable bcat : std_logic_vector(0 to 3);
begin
   bcat := b0 & b1 & b2 & b3;
   case bcat is
      when "0000" => x <= 1;
      when others => x <= 2;
   end case;
end process;
于 2009-12-01T15:08:05.253 回答