2

使用 ModelSim 10.1d 运行以下简单代码时

program test;

  initial begin
    $display("hello world");
  end

endprogram

我在看Error loading design。该问题可以在这里复制:http ://www.edaplayground.com/s/4/807

我知道 ModelSim 不支持 SV 断言/覆盖,但是program块呢?

4

2 回答 2

4

ModelSim 不支持 Questa 支持的以下 SystemVerilog 结构:

  1. 程序块
  2. 断言
  3. 封面组
  4. 随机化()

无论如何,我不建议program任何用户使用块。请参阅http://go.mentor.com/programblocks

于 2014-01-06T03:49:41.347 回答
2

显然它没有。如果您从示例中添加程序块的实例并尝试运行它,则会出现以下错误:

# ** Fatal: (vsim-3904) SystemVerilog Program Blocks are not supported in Modelsim PE.

此处发布的更新示例:http ://www.edaplayground.com/s/474/810

于 2014-01-06T01:33:05.253 回答