我试图在modelsim中模拟我的verilog代码期间显示一个实数。但我只得到 0 作为输出。我正在尝试使用 bitstoreal 系统功能。我不太擅长verilog,所以这可能是初学者的愚蠢错误。
以下是我的代码:
reg [31:0] y[1:0];
integer file;
localparam [31:0] test = 32'h3fb0d05d;
task read_data_from_fifo();
begin
file = $fopen("/tmp/data.fifo", "r");
$fread(y, file);
$display("y0 = %d, %f, %h", $bitstoreal(y[0]), $bitstoreal(test), $bitstoreal(y[0]));
$display("y1 = %f, %f, %h", y[1], $bitstoreal(32'h5dd0_b03f), y[1]);
end
endtask
(从初始开始块调用任务)输出:
# y0 = 0, 0.000000, 00000000
# y1 = 3742779199.000000, 0.000000, df16473f
所有帮助表示赞赏。
更新
看起来 bitstoreal 只支持双精度浮点数(64 位)。因为
localparam [63:0] test = 64'h_3FF61A0BE5109071;
$display("%f", $bitstoreal(test));
结果是
1.381359