15

我想将一个 verilog 模块包含到另一个文件中。如何将它包含在代码中以及如何编译代码以包含头文件?和c一样吗?

4

1 回答 1

24
  1. 一个基本示例可以将它们都包含在同一个文件中,如verilog in a day 的第 4 页所示。

  2. 应自动找到同一文件夹中的所有文件。

  3. 包括它们,如下面的 Hello_World_Program_Output或示例所示。

  4. 高级工作流可以让 files.f 列出指定包含目录的 verilog 或配置文件。

包括 (3) 的示例:

`include "folder/sub.sv"
module top;
  sub sub_i(
    .a(),
    .b()
    ...

文件扩展名.v用于verilog 编译,您的编译器应使用Verilog 2005 之前的最新标准。.sv扩展名用于SystemVerilog。它在 2009 年取代了 Verilog。文件扩展名导致编译器切换到 SystemVerilog。

于 2013-10-29T15:37:06.850 回答