5

VHDL中#define、#ifdef和#ifndef的等价物是什么?

我想将泛型用作#define,并根据它们更改设计。举个简单的例子:定义一个字符串泛型并用它来确定时钟是单时钟还是差分时钟。

generic (
  something : boolean := FALSE;
  CLK_MODE : string := "SINGLE_ENDED"
);

现在,如何根据泛型改变逻辑?一个人当然可以用一个简单的 if 语句写出 2 个可能的逻辑描述,但是两者都会被综合(尽管只有一个被真正使用)。

另外,是否可以根据通用更改端口?对于 CLK 示例,差分时钟需要 2 个 in 端口,但单端时钟只需要一个。如何根据泛型启用或禁用第二个端口?

4

2 回答 2

8

根据条件合成不同硬件电路的一种方法是使用genericwithif-generate语句。在下面的示例中,当泛型ARITHMETIC_OPERATION_IS_ADD为真时,会生成一个加法器。当它为假时,产生一个减法器。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity conditional_hardware is
    generic (
        ARITHMETIC_OPERATION_IS_ADD: boolean := true
    );
    port (
        a, b: in unsigned(7 downto 0);
        y: out unsigned(7 downto 0)
    );
end;

architecture example of conditional_hardware is
begin

    adder: if ARITHMETIC_OPERATION_IS_ADD generate
        y <= a + b;
    end generate;

    subtractor: if not ARITHMETIC_OPERATION_IS_ADD generate
        y <= a - b;
    end generate;

end;

注意:如果你真的想要它,有一些 VHDL 预处理器可以像 C++ 对应物一样工作。例如,看看http://vhdlpp.sourceforge.net/README

对于可重用 VHDL 基础知识的非常好的和全面的介绍,我强烈推荐 VLSI Technology 的白皮书Coding Tips and Techniques for Synthesizeable, Reusable VHDL

自从我上次使用 LVDS 已经有一段时间了,所以下面的内容可能已经过时了。对于输出,您可以将互补值分配给两个输出引脚:

diff_out_p <= my_signal;
diff_out_n <= not my_signal;

然后,在您的项目设置文件中,将它们分配给差分对,并将输出标准设置为 LVDS 或您使用的任何内容。

对于输入,我的工具手册建议实例化一个原语。这个原语有两个输入和一个输出。您应该将输入连接到差分对,并在您的 VHDL 代码中使用输出(<data_out>在下面的示例中)。

library altera; 
use altera.altera_primitives_components.all; 

lvds_input_buffer : ALT_INBUF_DIFF
generic map (
    IO_STANDARD => "LVDS",
    LOCATION => "IOBANK_1A",
    ENABLE_BUS_HOLD => "off",
    WEAK_PULL_UP_RESISTOR => "off"
)  port map ( 
    i => <data_in_pos>,
    ibar => <data_in_neg>,
    o => <data_out>
);
于 2013-10-24T15:53:30.827 回答
3

时钟

我会避免在内部 HDL 中使用单端时钟信号以外的任何东西。

在顶层,实例化您的差分对缓冲器(Xilinx-land 中的 IBUFDS)以转换为您的内部时钟信号,然后在整个过程中使用该信号。

端口

无法根据通用更改端口引脚的数量。您可以做的是为可选输入分配默认值,这意味着您在实例化实体时不必连接它。您可以使用泛型来决定是否使用信号。

generic (
  something : boolean := FALSE

);
port (
   some_normal_port : std_logic;
   some_optional_port : std_logic := 'U';
....

接着

if something generate
   some logic using the optional port
else
   some logic not using the optional port
end generate;
于 2013-10-25T08:39:15.213 回答