1

我正在使用 tcl 脚本运行 modelsim 模拟,并且我想关闭除我自己的“puts”语句之外的所有 modelsim 回显到脚本窗口。

我的 tcl 脚本中的 for 循环运行命令:eval vsim $vsim_opt work.my_top_level.vhd但这会导致 modelsim 回显 vsim 命令。

我也试过运行:quietly eval quietly vsim $vsim_opt work.my_top_level.vhd但它仍然回响。

当我在 modelsim 命令行中运行虚拟 tcl 命令时:quietly eval expr 2+2 它可以工作...没有回声...什么给出了?

我正在使用 modelsim PE 10.1c

4

1 回答 1

0

尝试使用 tcl Expect 包。这将允许您有选择地从成绩单中记录您想要的内容,或者只运行您的模拟。

尝试

package require Expect
log_user 0
spawn vsim $vsim_opt work.my_top_level.vhd
log_user 1
于 2013-10-24T12:34:41.630 回答