我经常在 VHDL 中注意到某个组件有多个输出端口。即在我们的一个示例中,我们得到了以下组件:
COMPONENT eight_bitadder
PORT ( a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
其中 z 确定结果是否为 0,而 o 在溢出时触发。
现在就我而言,我希望使用这个加法器,但是实际结果并不重要,我只想检查结果是否为“0”。我当然可以添加一个虚拟信号并将端口存储到该信号,但这似乎不必要地复杂,并且可能在合成过程中添加额外的组件?