4

我已经定义了两个模块,datapath 和 ctrl。

然后我在同一个文件中定义另一个模块,它实例化数据路径和 ctrl

module mult(input reset, input [3:0] i0,i1, output o);  
   wire [3:0] cnt, sh;
   wire load, go,ld1 
   datapath d0(i0,i1,cnt,sh,load,go,o);
   ctrl c0(reset, clk,sh,cnt,ld1,load,go);
endmodule

但是verilog在“datapath d0 ...”行抱怨“无效的模块项”,为什么?

4

1 回答 1

10

您在上一行缺少分号。

于 2013-09-24T18:46:07.343 回答