0

假设我有一些过程,其中我已经初始化了一些变量。

process (clk) is

variable integer := 0;

begin

if (clk'event and clk='1') and (integer<32) then
   integer := integer +1;
end if;

if (integer = 32) then
   BusyOUT <= '1';  -- This is some outside signal
end if;

end proces;

每次 clk 处于上升沿时,此代码会将整数设置为 0(因为它将执行整个代码),还是仅将整数初始化为 0 一次(在第一次读取时钟的上升沿时,因为它在敏感度列表中)而不是每次 clk 处于上升沿时递增它,直到它达到 32,然后它将激活控制 BusyOUT 信号(一些外部信号),它将停止提高整数?

在此先感谢,博扬

4

1 回答 1

0

是的,与此同时,我认为 process 和 begin 之间的部分只执行一次。

于 2013-09-19T06:55:43.880 回答