2

不是一个正确的编程问题,但是有人知道能够缩进 System Verilog 的开源缩进(类似于 gnu indent 或 astyle)吗?

更复杂的漂亮打印(例如对齐分配或重新格式化源以适合 80 个字符行)会非常好,但基本缩进已经很有用了。

4

1 回答 1

3

Emacs 的 verilog 模式

您可以在此处阅读所有相关信息。尽管有这个名字,它也支持 SystemVerilog。

Vim 语法文件

有一些 Vim 语法文件浮动,它们将添加语法突出显示,以及对 Vim 的缩进。搜索“systemverilog vim”。


当您编辑时,以上两个都会缩进;如果您正在寻找重新格式化整个文件的工具,请查看:

于 2013-08-23T04:25:36.043 回答