5

我有一个参数如下:

parameter PARAM = 7'd69;

当我尝试将该值分配给下面的寄存器时:

reg [6:0] r;

像这样:

r <= PARAM;

我收到综合警告:

警告 (10230): ... 大小为 32 的截断值以匹配目标 (7) 的大小

我假设这是因为PARAM它被解释为整数,因为它被定义为parameter. 有没有办法将 PARAM 定义为 7 位宽?

我总是可以将它作为 7 位输入传递给我的模块,但我想知道是否有更优雅的解决方案。

4

1 回答 1

10

您可以定义参数如下:

parameter [6:0]PARAM = 7'd69;

这样你就告诉你的编译器PARAM大小是 7 位。

于 2013-08-21T17:02:02.083 回答