我在我的模块中添加了一个时钟发生器,现在模拟永远不会完成。
always #10 clk = ~clk;
为什么在所有initial
代码完成后sim没有完成?
module test;
reg clk;
initial begin
clk = 0;
$display("Hello");
end
always #10 clk = ~clk;
endmodule
EDA Playground 上的模拟结果:http ://www.edaplayground.com/s/4/15