Find centralized, trusted content and collaborate around the technologies you use most.
Teams
Q&A for work
Connect and share knowledge within a single location that is structured and easy to search.
我有一个触发器,我只需要启用一个时钟周期。在这种情况下,单周期使能信号的标准做法是什么?
提前致谢
复位后仅启用一个周期的触发器如下所示;所有信号都是std_logic:
process (clk, rst) is begin if rst = '1' then fired <= '0'; elsif rising_edge(clk) then if fired = '0' then q <= d; fired <= '1'; end if; end if; end process;