0

我正在编写一个用于模拟和逻辑的简单 System C 程序。敏感度列表有 a,b 作为其成员。我想在主程序的这些行上驱动 0 ,1 ,并且根据灵敏度列表的定义,我的和模块应该运行并给我新的值。

#include<systemc.h>

SC_MODULE(digital_logic)
{
  sc_in<sc_logic> a,b;
  sc_out<sc_logic> c;

  SC_CTOR(digital_logic)
  {
    SC_METHOD (process);
    sensitive << a << b;
  }

  void process()
  {
    cout << "PRINT FROM MODULE\n";
    c = a.read() & b.read();
    cout << a.read() << b.read() << c << endl;
  }

};

int sc_main(int argc , char* argv[])
{
  sc_signal<sc_logic> a_in ,b_in , c_out , c_out2;
  a_in  = SC_LOGIC_1 , b_in = SC_LOGIC_1;

  digital_logic digital_and1("digital_and1");
  digital_and1 (a_in , b_in , c_out);

  sc_start(200,SC_NS);
  cout << "PRINT FROM SC_MAIN\n";

  a_in = SC_LOGIC_1;
  cout << c_out << endl;
  b_in = SC_LOGIC_0;
  cout << c_out << endl;
  b_in = SC_LOGIC_1;
  cout << c_out << endl;

  return 0;
}

我预计由于灵敏度列表上的信号发生了变化,输出也会发生变化,但这是 o/p。如何更改主程序中的信号,以便在不编写单独的测试平台的情况下模拟与门。

OUTPUT
PRINT FROM MODULE
11X
PRINT FROM SC_MAIN
1
1
1
4

1 回答 1

1

您必须sc_start()在要继续模拟的点之间放置。喜欢以下

a_in = SC_LOGIC_1;
b_in = SC_LOGIC_0;
sc_start(1,SC_NS);     // Add this
cout << c_out << endl;
b_in = SC_LOGIC_1;
sc_start(1,SC_NS);     // Add this
cout << c_out << endl;

在您的原始代码中,您只需依次为a_inand分配新值b_in。它会改变 和 的当前值a_inb_in但不会影响 的当前值,c_out因为您的程序没有进入 SystemC 仿真内核来模拟更改。Soc_out的下一个值不会被您的a_inb_in敏感度列表更改。

于 2013-06-24T23:19:43.137 回答