0

我对 VHDL 比较陌生,并且面临泛型问题。我想为泛型分配一个信号值。可以这样做吗?

architecture rtl of entity_name is  

  signal ibaudratetop: integer;  

  component my_baud1 is  
    generic(  
      baudrate       : integer := 115200;  
      clock_freq_mhz : real    := 1.843200);  
    port(  
      clk  : in std_logic;  
      rst  : in std_logic;  
      baud : out std_logic);  
  end component;  

begin  

  BAUDRATE: my_baud1  
    generic map(  
      baudrate       => ibaudratetop,  
      clock_freq_mhz => 1.843200)  
    port map(
      clk  => clk,  
      rst  => rst,  
      baud => ibaudrx);  

end rtl;

这只是我的 UART 代码的一部分。在将整数类型的信号分配给泛型的情况下,这种泛型映射是否可行?我无法模拟代码。

4

1 回答 1

4

不,泛型是在细化过程中评估的(类似于“编译时间”),并且信号预计会在模拟过程中发生变化(所以更像是“运行时间”)。

因此,如果在编译和细化之后输入应该更改,那么最好使用端口。

于 2013-06-14T15:24:12.947 回答