0

我正在尝试制作一个简单的程序来在按下按钮时打开 LED。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity TurnOn is
Port ( sig : in STD_LOGIC;
       led : out  STD_LOGIC);
end TurnOn;

architecture Behavioral of TurnOn is

(Line 39) process(sig)
begin

if sig = '1' then
led <= '1';
(Line 44) else
led <= '0';

(Line 47)end if;    
end process;
end Behavioral;

我得到这些错误。

Line 39: Syntax error near "process".
Line 44: Syntax error near "else".
Line 47: Syntax error near "if".

我是 VHDL 的新手,所以我假设我错过了一些小东西。盯着这个看了大约一个小时。感谢您的任何意见。

4

1 回答 1

2

您忘记了流程声明之前的开始声明。

architecture Behavioral of TurnOn is

begin

process(sig)
begin
于 2013-06-11T19:13:07.850 回答