我需要使用 4:1 Mux 创建 XOR(我知道没有 Mux 会更容易......)
我发现这个 4:1 的有用示例
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity multiplexer4_1 is
port (
i0 : in std_logic;
i1 : in std_logic;
i2 : in std_logic;
i3 : in std_logic;
sel : in std_logic_vector(1 downto 0);
bitout : out std_logic
);
end multiplexer4_1;
architecture Behavioral of multiplexer4_1 is
begin
process(i0,i1,i2,i3,sel)
begin
case sel is
when "00" => bitout <= i0;
when "01" => bitout <= i1;
when "10" => bitout <= i2;
when others => bitout <= i3;
end case;
end process;
end Behavioral;
但是我有点困惑如何告诉多路复用器在 01 或 10 是输入时输出 1,否则为 0。我可以为 i0-i3 赋值吗?抱歉,我是 VHDL 新手