1

我可以在位向量声明中分隔位以更好地区分它们吗?例如,我有一个 32 位指令,例如:

000000000000000000000000000000000

如您所见,它不像仅使用 8 位那样容易查看。所以我想写一些类似的东西:

000000,00000,00000,00000,00000,000000

太棒了!更具可读性。有没有办法在 VHDL 中做到这一点?

4

2 回答 2

3

在位串文字和十进制文字中,下划线字符“_”被删除并且不影响值。

因此允许写入 0000000_00000_000_0000_00_0 或 "0000000_00000_000_0000_00_0"。

于 2013-04-07T10:08:32.530 回答
0

其他需要考虑的是&运算符,用于连接多个元素,甚至是不同数据类型的元素(当然需要适当的转换):

sig <= some_top_bits & "00" & some_other_bits & to_unsigned(some_integer, 4);
于 2013-04-08T11:08:10.627 回答