8

如何在 Verilog 中读取环境变量?(在 VCS 模拟器上运行)

我正在努力完成

File=$fopen("$PATH/FileName","r");

$PATH 是一个环境变量。

4

4 回答 4

13

您可以简单地使用 SystemVerilog DPI 来获取环境。并且因为getenv是每个 POSIX 平台的标准 C 库,所以您不需要getenv()再次为函数定义实现自己的等效函数。

SV 中的示例代码。

import "DPI-C" function string getenv(input string env_name);

module top;

  initial begin
    $write("env = %s\n", {getenv("HOME"), "/FileName"});
  end
endmodule

跑步

ncverilog -sv dpi.v

或者

vcs -sverilog dpi.v

它会显示

env = /home/user/FileName

在您的原始问题中还有一个问题,PATH 是可执行搜索路径的环境,并与“:”字符连接。我认为这应该是一个例子,而不是真正的“PATH”环境。否则,您的 fopen 文件名可能是"/bin:/usr/bin:/usr/local/bin/FileName",这是错误的。

于 2013-02-27T03:15:27.397 回答
2

您可以使用简单的 PLI 应用程序来读取环境变量。这是一个示例,没有任何错误检查:

#include <stdlib.h>
#include <string.h>

#include "vpi_user.h"

PLI_INT32 pli_getenv (PLI_BYTE8 * arg) {

    vpiHandle tf_obj = vpi_handle (vpiSysTfCall, NULL);
    vpiHandle arg_iter = vpi_iterate (vpiArgument, tf_obj);

    vpiHandle arg1, arg2;
    arg1 = vpi_scan (arg_iter);
    arg2 = vpi_scan (arg_iter);

    s_vpi_value vi, vo;
    vi.format = vpiStringVal;
    vpi_get_value (arg2, &vi);

    vo.format = vpiStringVal;
    vo.value.str = strdup (getenv (vi.value.str));
    vpi_put_value (arg1, &vo, NULL, vpiNoDelay);

    return 0;
}

VCS 文档应说明如何将其链接到模拟器中。

于 2013-02-23T00:30:59.177 回答
1

使用 Verilog 预处理器通常更简单

File = $fopen(`PATH_FILENAME, "r");

然后从您的 Makefile/shell 脚本调用模拟器,指定要替换的值

$(SIM) -DPATH_FILENAME=\"$PATH/FileName\" blah.v ...

iverilog经常vsim和伊卡洛斯一起使用这个,朋友们可能支持类似的。

引号被转义,以便它们包含在替换值中,因为预处理器不会在文字值内替换。例如,这种组合不起作用

File = $fopen("`PATH_FILENAME", "r");

...

`$(SIM) -DPATH_FILENAME=$PATH/FileName blah.v ...`
于 2013-07-16T17:00:37.527 回答
0

在这里我可以看到所有答案,或者他们正在使用一些 DPI 或者需要一些命令行参数。所以我只用 SystemVerilog语法分享我的答案。答案并不特定于任何模拟器。但肯定是针对 Linux 环境的;对于其他操作系统,我们需要更改$system命令。

在开始模拟之前,我们需要使用一些预处理脚本或模拟脚本来设置这个“logPath”系统变量。

 string myPath;

 initial begin
     //Writing System Variable To A File
     $system("echo ${logPath} > logPath.txt");

     //Opening that file and reading to a string variable
     fh = $fopen ("./logPath.txt", "r");
     void'($fscanf(fh,"%s",myPath));

     //Appending File Name To That Path
     myPath = {myPath,"/note.txt"};

     //Closed and remove this temporary file
     $fclose(fh);
     $system("rm -rf logPath.txt");
      
     //Open a file at the path that you have extracted from System Variable
     //Do whatever you want now 
     fh = $fopen (myPath, "w");
     
     repeat(10) begin
         $fdisplay (fh, "%t %M: Write Line Number =|%0d| ", $time, i);
         i++;
     end

     $fclose(fh);
  end
于 2021-08-10T09:48:20.567 回答