2

I need to break apart an integer result of a calculation in order to display its digits on a 7-segment but ISE won't 'accept' anything I try! Maybe convert it to integer_vector? How?

4

1 回答 1

1

我假设您想在七段显示器上显示以 10 为底的数字,在这种情况下,这实际上是一项相对复杂的任务。它涉及将在硬件中以二进制形式存储的整数转换为二进制编码的小数。可以在此处找到 VHDL 中的 8 位二进制到 BCD 转换器。请注意,如果需要,将此算法扩展到更多位/数字并不是直接的。

另请注意,如果可以接受的话,以十六进制显示数字会简单得多。

于 2013-01-28T09:47:04.467 回答