3

我有以下架构:

architecture datapath of DE2_TOP is
begin
U1: entity work.lab1 port map (
    clock => clock_50,
    key => key,
    hex6 => hex6,
    hex5 => hex5,
    hex4 => hex4
);
end datapath;

我收到以下错误:Error (10481): VHDL Use Clause error at DE2_TOP.vhd(276): design library "work" does not contain primary unit "lab1"在线:U1: entity work.lab1 port map (。有谁知道这是什么原因造成的?

4

1 回答 1

2

在编译之前,您至少需要编译entityforlab1DE2_TOP

于 2013-01-24T10:46:21.100 回答