0

我现在正在详细解释我的问题,因为我意识到我没有很好地解释我的问题。

我是 Verilog 的初学者。为了学习这门语言,我正在编写一些示例应用程序。
目前我正在编写 Verilog 代码,使用Floyd-Steinberg算法将 8 位 BMP 图像转换为半色调 BMP 图像。基本上我使用上述算法将 8 位像素转换为 1 位。

我在《 Advanced Digital Design with the Verilog HDL - Michael D. Ciletti》一书中找到了该算法的示例代码,第 555 页。我已经成功地在 ModelSim 中模拟了该设计。

问题是该示例是针对大小为 6 x 8 的图像给出的,但为了学习和练习,我正在尝试将此代码转换为不同的格式和大小。作为第一个目标,我尝试修改此代码(我已经很好理解)以适用于大小为 1000 x 1000 的图像。由于该示例仅适用于 48 像素(6 x 8),因此编写说明更容易手动在模块内部,如下面的代码所示。但如果我有 10,00000 像素(1000 x 1000),我该如何更改代码。我不能写这样的方程:

  PPDU a0(err_1,htpv_1[1],8'b00,8'b00,8'b00,8'b00,pixel_1);

10,00000 手动(请参阅下面给出的代码)。

我想必须有某种方法可以使这项工作自动化。

在 CI 中,可以使用 for 循环自动化许多事情。但是作为 Verilog 的新手,我无法继续。如果有人能指出一个有用的链接,我真的会很高兴。

// pixel processor datapath unit//
module PPDU(err_0,htpv,err_1,err_2,err_3,err_4,pv);
output [7:0]err_0;
output htpv;
input [7:0]err_1,err_2,err_3,err_4,pv;
wire [9:0]cpv,cpv_round,e_av;
parameter w1=2,w2=8,w3=4,w4=2;
parameter threshold =128;
assign e_av=(w1*err_1+w2*err_2+w3*err_3+w4*err_4)>>4;
assign cpv=pv+e_av;
assign cpv_round=(cpv<threshold)?0:255;
assign htpv=(cpv_round==0)?0:1;
assign err_0=cpv-cpv_round;
endmodule

module image_converter (pixel_1,pixel_2,pixel_3,pixel_4,pixel_5,pixel_6,pixel_7,pixel_8,pixel_9,
   pixel_10,pixel_11,pixel_12,pixel_13,pixel_14,pixel_15,pixel_16,pixel_17,
   pixel_18,pixel_19,pixel_20,pixel_21,pixel_22,pixel_23,pixel_24,pixel_25,
   pixel_26,pixel_27,pixel_28,pixel_29,pixel_30,pixel_31,pixel_32,pixel_33,
   pixel_34,pixel_35,pixel_36,pixel_37,pixel_38,pixel_39,pixel_40,pixel_41,
   pixel_42,pixel_43,pixel_44,pixel_45,pixel_46,pixel_47,pixel_48,htpv_1,
      htpv_2,htpv_3,htpv_4,htpv_5,htpv_6
      );
 input [7:0]pixel_1,pixel_2,…..,pixel_47,pixel_48;
 output [1:8]htpv_1,htpv_2,htpv_3,htpv_4,htpv_5,htpv_6;
 wire [7:0]err_1,err_2,……., err_47,err_48;
 PPDU a0(err_1,htpv_1[1],8'b00,8'b00,8'b00,8'b00,pixel_1);
 ...
 PPDU a7(err_8,htpv_1[8],err_7,8'b00,8'b00,8'b00,pixel_8);                       
 PPDU b1(err_9,htpv_2[1],8'b00,8'b00,err_1,err_2,pixel_9);
 ...     PPDU b8(err_16,htpv_2[8],err_15,err_7,err_8,8'b00,pixel_16);
 PPDU c1(err_17,htpv_3[1],8'b00,8'b00,err_9,err_2,pixel_17);
 ….
 PPDU c8(err_24,htpv_3[8],err_23,err_15,err_16,8'b00,pixel_24);
 PPDU d1(err_25,htpv_4[1],8'b00,8'b00,err_17,err_18,pixel_25);
 ….
 PPDU d8(err_32,htpv_4[8],err_31,err_23,err_24,8'b00,pixel_32);
 PPDU e1(err_33,htpv_5[1],8'b00,8'b00,err_25,err_26,pixel_33);
 ….
 PPDU e8(err_40,htpv_5[8],err_39,err_31,err_32,8'b00,pixel_40);
 PPDU fi(err_41,htpv_6[1],8'b00,8'b00,err_33,err_34,pixel_41);
 ….
 PPDU f8(err_48,htpv_6[8],err_47,err_39,err_40,8'b00,pixel_40);  
 end
 endmodule
4

2 回答 2

0

听起来您正在为每个像素实例化一个模块。这一切都很好,但最终会为 1000x1000 图像使用大量硬件,因为每个模块都是一堆门和触发器。这将导致您“一次”转换整个图像,这也意味着您必须“一次”将整个图像获取到这个硬件,这是一个非常多的引脚。

您可能想要做的是:

  • 将图像存储在内存中,然后编写状态机读取内存的相关部分,执行抖动并写回
  • 通过一些逻辑流式传输图像,该逻辑保持对先前像素的足够了解以执行抖动。我对 FS 抖动不太熟悉,但它可能只需要了解正在处理的那一行之上的一两行。
于 2013-01-16T10:59:24.197 回答
0

两个想法:

  1. 您可以将该generate语句用作一种循环来实例化太多而无法输入的模块。我对这个结构不太熟悉,但也许谷歌搜索这个关键字会为你指明正确的方向。

  2. 虽然 verilog 是一种硬件描述语言,但没有什么要求您实际手动写出文件。您可以随意使用任何您喜欢的编程语言来生成 verilog 文件(Perl 是我的最爱)。如果你必须根据某种算法生成 100 万个模块,那么你可以编写一个简单的脚本来打印一个 100 万行的 verilog 文件。您不必手动编写它。

于 2013-01-16T07:18:58.630 回答