0

我想知道“我有 2 个值,std_logic_vector我想检查它的最后一位是否为偶数和奇数,我希望它们都计算像素值的确切位置。这可以通过 case 语句实现吗”。

X_cont : std_logic_vector(15 downto 0)
Y_cont : std_logic_vector(15 downto 0)

我只想检查 LSB。

4

1 回答 1

1

检查两个 LSB 使用 case 是:

  process(x_cont, y_cont)
    type res_t is (both_low, one_low, none_low);
    variable result: res_t;
    variable lsbs: std_logic_vector(1 downto 0);
  begin
    lsbs:=x_cont(0) & y_cont(0);
    case (lsbs) is
    when "00" =>
        result:=both_low;
    when "01" | "10" =>
        result:=one_low;
    when others =>      
        result:=none_low;
    end case;

end process;
于 2013-03-11T08:30:19.230 回答