5

是否有可能有一个通用的包?这意味着当我实例化实体时,我提供了一些通用的,并且包将依赖于它。

我有一个我想多次使用的块,每次使用不同的包(即不同的参数)

我必须使用包,因为我想使用数组数组,而我只能使用包来做到这一点。

4

3 回答 3

4

是的,但这是VHDL-2008的功能。因此,您是否能够使用它取决于您的工具。

这个功能真的很强大。

这是一个例子

于 2012-10-31T09:01:45.193 回答
0

目前这可以通过 Xilinx 的 Vivado 工具集实现,该工具集支持许多 VHDL-2008 特性。参考此处提供的其他答案。

于 2020-08-06T20:10:49.650 回答
-3

不,不是,因为库子句是静态评估的,您需要的是动态绑定之类的东西,这在 vhdl 中是不可能的。

但是,您似乎想要一种方便的方式来提供不同的通用值“集”。在这种情况下,我建议您查看用户定义的记录或数组类型。例如,您可以定义一个包含您的配置数据的记录数组,并为每个实例提供它自己的数组集合。整洁干净,而且所有工具都支持这一点。

于 2012-10-31T07:32:50.087 回答