3

我正在尝试将十进制值添加到 10 位 std_logic_vector 而不必描述每一位。尽管在这种特定情况下可能不值得麻烦,但我相信将来知道它会非常好。到目前为止,我有:

    signal h_cnt : std_logic_vector(9 downto 0);
    ... --code
    h_cnt <= std_logic_vector(to_unsigned(9, 10));

我收到一条错误消息:

*错误(10482):vhdl_vga.vhd(70)处的VHDL错误:使用了对象“to_unsigned”但未声明*

有人可以帮我做这个吗?

提前致谢

4

1 回答 1

3

我不得不更换我正在使用的库。

    use ieee.numeric_std.all;

是我需要的。

于 2012-09-09T17:12:30.317 回答