关于最佳 VHDL 设计实践的问题。
在设计状态机时,我应该使用架构中的信号还是使用变量。到目前为止,我一直使用变量,因为它们对进程“有点”私有,恕我直言,因为它们不应该在进程之外访问。但这是好的设计实践吗?
type state_type is (s0,s1);
signal state : state_type := s0;
A : process(clk)
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;
--This process uses a variable
B : process(clk)
type state_type is (s0,s1);
variable state : state_type := s0;
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;