我想在 vhdl 中将信号延迟几个周期,但是我在使用如何在 vhdl 中将信号延迟几个周期时遇到问题
我不需要注册信号吗?我的意思是,类似:
a_store and a_store_registered would be std_logic_vector(cycles_delayed-1 downto 0)
process(clk)
begin
if rising_edge(clk) then
a_store_registered <= a_store;
end if;
end process;
a_out <= a_store_registered(cycles_delayed-1);
process(a_store_registered, a)
begin
a_store <= a_store_registered(size-2 downto 0) & a;
end process;