我在编译 VHDL 代码时遇到问题,在以下位置出现错误:
使用 bin2bcd.all;
顺便说一句,代码在 ISE 上工作,而不是在 ModelSIm 上任何想法???!!!提前致谢。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library bin2bcd;
use bin2bcd.all;
而 bin2bcd 是:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
package bin2bcd is
function to_bcd ( bin : std_logic_vector(11 downto 0) ) return std_logic_vector;
end bin2bcd;