3

verilog 中是否有特殊的开始和结束关键字允许模拟继续运行直到遇到结束关键字?我知道这将无法合成;但是,我只想将其用于测试目的。verilog 中的 $finish 关键字也退出了 modelsim。

4

1 回答 1

6

$finish并且$stop是停止模拟的verilog系统任务。$finish终止模拟,同时$stop停止模拟并进入交互模式。所以你可以使用$stop. 甚至$finish应该没问题,因为 ModelSim 通常会询问您是否要完成。选择“否”保持在仿真模式,选择“是”将退出 ModelSim。

于 2012-05-14T15:45:26.233 回答