0

如何将 mips 中的两个浮点数相乘?我写了以下代码:

mul.s $f0,$f1,$f0

mflo $f0

但它在第二个语句中给出了错误。

4

1 回答 1

1

$f0已经包含结果;不需要第二个语句。

于 2012-04-18T11:45:43.617 回答